网站首页
IC库存
IC展台
电子资讯
技术资料
PDF文档
我的博客
IC72论坛
ic72 logo
搜索关键字: 所有资讯 行业动态 市场趋势 政策法规 新品发布 技术资讯 价格快报 展会资讯
  • 达普IC芯片交易网 > 新闻中心 > 技术信息 > 正文
  • RSS
  • LATCH的产生
    http://www.ic72.com 发布时间:2007/4/29 9:59:18
    在VHDL的表述逻辑的PROCESS中,如果一个信号被条件调用或者,有信号在付值语句右侧出现,而这些信号又没有在敏感表中,则输出信号会形成LATCH.对输入信号很多的逻辑最好不要用process表达,而用When...ELSE 或With...select等其他.
    另外还有其他情况也可以生成latch.下面是一个例子.
    ...
    signal A : std_logic_vector( 3 downto 0);
    signal B : std_logic_vector( 2 downto 0);
    ...

    process ( RST,CLK ) begin
    if ( RST = '0' ) then
    A <= ( others => '0' );
    elsif ( CLK'event and CLK='1' ) then
    A( 2 downto 0) <= B;
    end if;
    end process;


    www.ic72.com 达普IC芯片交易网
  • 行业动态
  • 市场趋势
  • 政策法规
  • 新品发布
  • Baidu

    IC快速检索:abcdefghijklmnopqrstuvwxyz0123456789
    COPYRIGHT:(1998-2010) IC72 达普IC芯片交易网
    客户服务:service@IC72.com 库存上载:IC72@IC72.com
    (北京)联系方式: 在线QQ咨询:点击这里给我发消息 联系电话:010-82614113 传真:010-82614123
    京ICP备06008810号-21 京公网安备 11010802032910 号 企业资质